aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
...
* | | | Merge pull request #3692 from nakengelhardt/stat_q_fixN. Engelhardt2023-03-011-1/+1
|\ \ \ \
| * | | | stat: pass down quiet argN. Engelhardt2023-02-281-1/+1
| | | | |
* | | | | Merge pull request #3688 from pu-cc/gatemate-reginitN. Engelhardt2023-03-013-8/+16
|\ \ \ \ \ | |/ / / / |/| | | |
| * | | | gatemate: Enable register initializationPatrick Urban2023-02-153-8/+16
| | | | |
* | | | | Merge pull request #3663 from uis246/masterMiodrag Milanović2023-02-281-0/+17
|\ \ \ \ \ | | | | | | | | | | | | gowin: Add new types of oscillator
| * | | | | gowin: Add new types of oscillatoruis2023-02-061-0/+17
| | | | | |
* | | | | | Merge pull request #3652 from martell/elvdsMiodrag Milanović2023-02-281-0/+8
|\ \ \ \ \ \ | | | | | | | | | | | | | | gowin: Add support for emulated differential output
| * | | | | | gowin: Add support for emulated differential outputmartell2023-01-291-0/+8
| |/ / / / /
* | | | | | Bump versiongithub-actions[bot]2023-02-281-1/+1
| | | | | |
* | | | | | Merge pull request #3646 from YosysHQ/lofty/fix-3591Miodrag Milanović2023-02-272-4/+41
|\ \ \ \ \ \ | | | | | | | | | | | | | | muxcover: do not add decode muxes with x inputs
| * | | | | | muxcover: do not add decode muxes with x inputsLofty2023-01-262-4/+41
| | | | | | |
* | | | | | | Merge pull request #3674 from YosysHQ/fix_wide_caseN. Engelhardt2023-02-278-14/+123
|\ \ \ \ \ \ \
| * | | | | | | run verific tests in test targetMiodrag Milanovic2023-02-271-0/+3
| | | | | | | |
| * | | | | | | Added ranged case checkMiodrag Milanovic2023-02-272-0/+27
| | | | | | | |
| * | | | | | | Add test exampleMiodrag Milanovic2023-02-274-0/+51
| | | | | | | |
| * | | | | | | Handle more wide case selector typesMiodrag Milanovic2023-02-271-14/+42
| | | | | | | |
* | | | | | | | fabulous: Add support for mapping carry chainsgatecat2023-02-275-2/+102
|/ / / / / / / | | | | | | | | | | | | | | | | | | | | | Signed-off-by: gatecat <gatecat@ds0.me>
* | | | / / / Bump versiongithub-actions[bot]2023-02-241-1/+1
| |_|_|/ / / |/| | | | |
* | | | | | Merge pull request #3685 from YosysHQ/update-abcCatherine2023-02-231-1/+1
|\ \ \ \ \ \ | |_|_|_|/ / |/| | | | | Update abc
| * | | | | Update abc.Catherine2023-02-231-1/+1
|/ / / / /
* | | | | Bump versiongithub-actions[bot]2023-02-211-1/+1
| | | | |
* | | | | Merge pull request #3403 from KrystalDelusion/mem-testsN. Engelhardt2023-02-2026-14/+1696
|\ \ \ \ \ | |_|_|_|/ |/| | | |
| * | | | Genericising bug1836.ysKrystalDelusion2023-02-211-20/+12
| | | | |
| * | | | bug3205.ys removedKrystalDelusion2023-02-211-57/+0
| | | | | | | | | | | | | | | | | | | | Made redundant by TDP test(s) in memories.ys
| * | | | Removing extra `default_nettype` linesKrystalDelusion2023-02-211-2/+0
| | | | |
| * | | | Fix for sync_ram_sdp not being final moduleKrystalDelusion2023-02-211-1/+1
| | | | | | | | | | | | | | | | | | | | Explicitly declare -top in synth_intel_alm.
| * | | | More tests in memlib/generate.pyKrystalDelusion2023-02-2113-12/+1180
| | | | | | | | | | | | | | | | | | | | Covers most of the todo list, at least functionally. Some minor issues with not always using hardware features.
| * | | | Tests for ram_style = "huge"KrystalDelusion2023-02-214-0/+219
| | | | | | | | | | | | | | | | | | | | iCE40 SPRAM and Xilinx URAM
| * | | | Testing TDP synth mappingKrystalDelusion2023-02-213-0/+49
| | | | | | | | | | | | | | | | | | | | | | | | | New common sync_ram_tdp. Used in ecp5 and gatemate mem*.ys.
| * | | | Asymmetric port ram tests with XilinxKrystalDelusion2023-02-213-0/+193
| | | | | | | | | | | | | | | | | | | | Uses verilog code from User Guide 901 (2021.1)
| * | | | Addings tests for #1836 and #3205KrystalDelusion2023-02-213-0/+120
|/ / / /
* | | | Bump versiongithub-actions[bot]2023-02-181-1/+1
| | | |
* | | | Merge pull request #3681 from keszocze/keszocze-patch-dsp48e1-init-dregN. Engelhardt2023-02-171-1/+1
|\ \ \ \
| * | | | Check DREG attributeOliver Keszöcze2023-02-171-1/+1
|/ / / / | | | | | | | | The DSP48E1 implementation checked the wrong attribute (i.e. CREG) to initialize the D input register. This PR fixes 3680
* | | | Bump versiongithub-actions[bot]2023-02-171-1/+1
| | | |
* | | | fabulous: Add CLK to BRAM interface primitivesgatecat2023-02-161-3/+3
| | | | | | | | | | | | | | | | Signed-off-by: gatecat <gatecat@ds0.me>
* | | | Bump versiongithub-actions[bot]2023-02-161-1/+1
| |_|/ |/| |
* | | Merge pull request #3672 from jix/yw-cosim-hierarchy-fixesJannis Harder2023-02-151-1/+25
|\ \ \ | | | | | | | | sim: For yw cosim, drive parent module's signals for input ports
| * | | sim: For yw cosim, drive parent module's signals for input portsJannis Harder2023-02-131-1/+25
| | | |
* | | | Merge pull request #3675 from daglem/struct-item-queriesJannis Harder2023-02-152-12/+161
|\ \ \ \ | | | | | | | | | | Support for data and array queries on struct/union item expressions
| * | | | Corrected tests for data and array queries on struct/union item expressionsDag Lem2023-02-151-80/+85
| | | | |
| * | | | Support for data and array queries on struct/union item expressionsDag Lem2023-02-152-12/+156
| | | | | | | | | | | | | | | | | | | | For now, $bits, $left, $right, $low, $high, and $size are supported.
* | | | | Merge pull request #3671 from zachjs/masterJannis Harder2023-02-152-0/+16
|\ \ \ \ \ | |/ / / / |/| | | | Add test for typenames using constants shadowed later on
| * | | | Add test for typenames using constants shadowed later onZachary Snow2023-02-122-0/+16
| | | | | | | | | | | | | | | | | | | | | | | | | This possible edge case came up while reviewing #3555. It is currently handled correctly, but there is no clear test coverage.
* | | | | Merge pull request #3661 from daglem/struct-array-range-offsetJannis Harder2023-02-152-22/+51
|\ \ \ \ \ | | | | | | | | | | | | Handle range offsets in packed arrays within packed structs
| * | | | | Handle range offsets in packed arrays within packed structsDag Lem2023-02-052-22/+51
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | This brings the metadata for packed arrays in packed structs in line with the metadata for unpacked arrays, and correctly handles the case when both lsb and msb in an address range are non-zero.
* | | | | | Bump versiongithub-actions[bot]2023-02-151-1/+1
| | | | | |
* | | | | | Merge pull request #2995 from georgerennie/cover_precondJannis Harder2023-02-142-0/+44
|\ \ \ \ \ \ | | | | | | | | | | | | | | chformal: Add -coverenable option
| * | | | | | chformal: Note about using -coverenable with the Verific frontendJannis Harder2023-02-141-0/+5
| | | | | | |
| * | | | | | chformal: Rename -coverprecond to -coverenableGeorge Rennie2022-06-182-7/+7
| | | | | | |