aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authormarph91 <33229141+marph91@users.noreply.github.com>2019-08-22 20:45:38 +0200
committertgingold <tgingold@users.noreply.github.com>2019-08-22 20:45:38 +0200
commit4f3462be120ad924ae1f6df5cc59a2d0a87f459d (patch)
tree417c4140740244f682671392d7660a86b05965c9 /testsuite
parentd359d6deb55e5c51707c86263b090fabbc5c41b2 (diff)
downloadghdl-yosys-plugin-4f3462be120ad924ae1f6df5cc59a2d0a87f459d.tar.gz
ghdl-yosys-plugin-4f3462be120ad924ae1f6df5cc59a2d0a87f459d.tar.bz2
ghdl-yosys-plugin-4f3462be120ad924ae1f6df5cc59a2d0a87f459d.zip
Icestick uart (#37)
* added UART example for the icestick * extended testsuite by the UART example
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/test-icestick/testsuite.sh3
1 files changed, 3 insertions, 0 deletions
diff --git a/testsuite/test-icestick/testsuite.sh b/testsuite/test-icestick/testsuite.sh
index 99bf9eb..f7cd7ec 100755
--- a/testsuite/test-icestick/testsuite.sh
+++ b/testsuite/test-icestick/testsuite.sh
@@ -10,4 +10,7 @@ for f in fixed1 blink multi1 multi2 spin1 rotate1 rotate2 rotate3 rotate4; do
synth "$src/leds.vhdl $src/${f}.vhdl -e leds"
done
+UART_SRC=$src/uart/hdl
+synth "$UART_SRC/uart_rx.vhd $UART_SRC/uart_tx.vhd $UART_SRC/uart_top.vhd -e uart_top"
+
clean