diff options
Diffstat (limited to 'icezum/pushbutton_and/pushbutton_and.vhdl')
-rw-r--r-- | icezum/pushbutton_and/pushbutton_and.vhdl | 18 |
1 files changed, 0 insertions, 18 deletions
diff --git a/icezum/pushbutton_and/pushbutton_and.vhdl b/icezum/pushbutton_and/pushbutton_and.vhdl deleted file mode 100644 index 0a9831d..0000000 --- a/icezum/pushbutton_and/pushbutton_and.vhdl +++ /dev/null @@ -1,18 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -entity pushbutton_and is - port (sw1, sw2 : in std_logic; - led0, led7 : out std_logic); -end pushbutton_and; - -architecture synth of pushbutton_and is - -signal a : std_logic; - -begin - a <= sw1 and sw2; - led0 <= a; - led7 <= not a; -end synth; |