aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-01-05 21:06:59 +0100
committerTristan Gingold <tgingold@free.fr>2021-01-05 21:06:59 +0100
commit3fd47e9301461a1db74895ae28cbb299a38d47ee (patch)
treed18bdec999d8d41ad1a1a0f28f454946f529d52e /testsuite/gna
parent850614dae6db4ec205726d5bb392571c5dc5f126 (diff)
downloadghdl-3fd47e9301461a1db74895ae28cbb299a38d47ee.tar.gz
ghdl-3fd47e9301461a1db74895ae28cbb299a38d47ee.tar.bz2
ghdl-3fd47e9301461a1db74895ae28cbb299a38d47ee.zip
testsuite/gna: add a test for previous commit
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/bug0118/assert3.vhdl14
-rwxr-xr-xtestsuite/gna/bug0118/testsuite.sh7
2 files changed, 21 insertions, 0 deletions
diff --git a/testsuite/gna/bug0118/assert3.vhdl b/testsuite/gna/bug0118/assert3.vhdl
new file mode 100644
index 000000000..33c2e50a3
--- /dev/null
+++ b/testsuite/gna/bug0118/assert3.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity assert01 is
+ port (
+ a, b : out std_logic);
+end;
+
+architecture behav of assert01 is
+ signal s : std_logic;
+begin
+ assert ((a and b and s) = '0') report "error" severity error;
+end;
diff --git a/testsuite/gna/bug0118/testsuite.sh b/testsuite/gna/bug0118/testsuite.sh
new file mode 100755
index 000000000..8ddbd06e7
--- /dev/null
+++ b/testsuite/gna/bug0118/testsuite.sh
@@ -0,0 +1,7 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+run "$GHDL" --reprint --std=08 assert3.vhdl > /dev/null
+
+echo "Test successful"