aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-09-21 07:54:03 +0200
committerTristan Gingold <tgingold@free.fr>2020-09-21 07:54:03 +0200
commit8d5ae7bf63c616925306b42d42d01d1cebf3ffc7 (patch)
tree4cdf17aec79b270cb5aa3c89d199a95bf4cbafbd /testsuite/gna
parent98d13c051f8c87b69a07c34c836ed31e8ee58842 (diff)
downloadghdl-8d5ae7bf63c616925306b42d42d01d1cebf3ffc7.tar.gz
ghdl-8d5ae7bf63c616925306b42d42d01d1cebf3ffc7.tar.bz2
ghdl-8d5ae7bf63c616925306b42d42d01d1cebf3ffc7.zip
testsuite/gna: add a test for #1469
Diffstat (limited to 'testsuite/gna')
-rw-r--r--testsuite/gna/issue1469/ent.vhdl15
-rw-r--r--testsuite/gna/issue1469/ent1.vhdl16
-rwxr-xr-xtestsuite/gna/issue1469/testsuite.sh13
3 files changed, 44 insertions, 0 deletions
diff --git a/testsuite/gna/issue1469/ent.vhdl b/testsuite/gna/issue1469/ent.vhdl
new file mode 100644
index 000000000..7cdbd1e18
--- /dev/null
+++ b/testsuite/gna/issue1469/ent.vhdl
@@ -0,0 +1,15 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent is
+end;
+
+architecture arch of ent is
+
+ procedure f(a : std_logic_vector(open)) is
+ begin
+ a(a'high) <= a-1;
+ end procedure;
+
+begin
+end;
diff --git a/testsuite/gna/issue1469/ent1.vhdl b/testsuite/gna/issue1469/ent1.vhdl
new file mode 100644
index 000000000..15fd607ab
--- /dev/null
+++ b/testsuite/gna/issue1469/ent1.vhdl
@@ -0,0 +1,16 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity ent1 is
+end;
+
+architecture arch of ent1 is
+
+ procedure f(a : std_logic_vector(open)) is
+ begin
+ report "a(a'high)=" & std_logic'image(a(a'high));
+ end procedure;
+
+begin
+ f ("0110");
+end;
diff --git a/testsuite/gna/issue1469/testsuite.sh b/testsuite/gna/issue1469/testsuite.sh
new file mode 100755
index 000000000..e984c9244
--- /dev/null
+++ b/testsuite/gna/issue1469/testsuite.sh
@@ -0,0 +1,13 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure ent.vhdl
+
+analyze ent1.vhdl
+elab_simulate ent1
+
+clean
+
+echo "Test successful"