aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-03-24 17:44:40 +0100
committerTristan Gingold <tgingold@free.fr>2018-03-24 17:44:40 +0100
commit156005b67550ead369c6ab1149e2791a42c7c895 (patch)
tree5dac084dbc39c5913540fa53a154f1262d060ef5 /testsuite
parent1fd1663e94f9d1c14fb8ccf8f1ee034827f7c31a (diff)
downloadghdl-156005b67550ead369c6ab1149e2791a42c7c895.tar.gz
ghdl-156005b67550ead369c6ab1149e2791a42c7c895.tar.bz2
ghdl-156005b67550ead369c6ab1149e2791a42c7c895.zip
Add reproducer for #381.
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue381/test.vhdl14
-rwxr-xr-xtestsuite/gna/issue381/testsuite.sh10
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/issue381/test.vhdl b/testsuite/gna/issue381/test.vhdl
new file mode 100644
index 000000000..5c988a7da
--- /dev/null
+++ b/testsuite/gna/issue381/test.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity can_rx is
+ port (
+ demo : in std_logic;
+ status : out std_logic_vector (31 downto 0)
+ );
+end can_rx;
+
+architecture rtl of can_rx is
+begin
+ status(0) <= (0=>'0', others => '0') when demo = '1' else (0=>'1', others => '0');
+end rtl;
diff --git a/testsuite/gna/issue381/testsuite.sh b/testsuite/gna/issue381/testsuite.sh
new file mode 100755
index 000000000..84ddbddba
--- /dev/null
+++ b/testsuite/gna/issue381/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure test.vhdl
+
+clean
+
+echo "Test successful"