aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-04-12 20:01:19 +0200
committerTristan Gingold <tgingold@free.fr>2018-04-12 20:01:19 +0200
commit4d591aebdf4d855b47e519095cd9f2f10181459c (patch)
treea6a2d693236475cd8da7524dfb341fe54a8bd5e6 /testsuite
parent6cd4a0dba88a6907d422d5a050608b5a38969060 (diff)
downloadghdl-4d591aebdf4d855b47e519095cd9f2f10181459c.tar.gz
ghdl-4d591aebdf4d855b47e519095cd9f2f10181459c.tar.bz2
ghdl-4d591aebdf4d855b47e519095cd9f2f10181459c.zip
Add reproducer for #553
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue553/repro.vhdl24
-rw-r--r--testsuite/gna/issue553/stimulus_response.vhd30
-rwxr-xr-xtestsuite/gna/issue553/testsuite.sh9
3 files changed, 63 insertions, 0 deletions
diff --git a/testsuite/gna/issue553/repro.vhdl b/testsuite/gna/issue553/repro.vhdl
new file mode 100644
index 000000000..71032a746
--- /dev/null
+++ b/testsuite/gna/issue553/repro.vhdl
@@ -0,0 +1,24 @@
+
+entity Stimulus_Response is
+generic ( CLK_PERIOD : Time := 20 ns );
+port (
+ NRESET : in bit;
+ CLK : in bit;
+
+ A : out bit
+);
+end Stimulus_Response;
+
+architecture Behavioral of Stimulus_Response is
+ type my_bool is (True, False, Maybe);
+
+ constant Scrubbing_Test : False;
+ constant MEM_Test : boolean := False;
+
+ signal A_int : bit;
+
+begin
+
+ A <= A_int;
+
+end Behavioral;
diff --git a/testsuite/gna/issue553/stimulus_response.vhd b/testsuite/gna/issue553/stimulus_response.vhd
new file mode 100644
index 000000000..55e3d8398
--- /dev/null
+++ b/testsuite/gna/issue553/stimulus_response.vhd
@@ -0,0 +1,30 @@
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+library osvvm;
+context osvvm.OsvvmContext;
+
+
+entity Stimulus_Response is
+generic ( CLK_PERIOD : Time := 20 ns );
+port (
+ NRESET : in std_logic;
+ CLK : in std_logic;
+
+ A : out std_logic
+);
+end Stimulus_Response;
+
+architecture Behavioral of Stimulus_Response is
+
+ constant Scrubbing_Test : False;
+ constant MEM_Test : boolean := False;
+
+ signal A_int : std_logic;
+
+begin
+
+ A <= A_int;
+
+end Behavioral;
diff --git a/testsuite/gna/issue553/testsuite.sh b/testsuite/gna/issue553/testsuite.sh
new file mode 100755
index 000000000..5defdcf1e
--- /dev/null
+++ b/testsuite/gna/issue553/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure repro.vhdl
+
+clean
+
+echo "Test successful"