aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-09-06 05:28:07 +0200
committerTristan Gingold <tgingold@free.fr>2017-09-06 05:28:07 +0200
commit69e6e7e0040509b28f2ecaad754ee4bec93e53e5 (patch)
tree2d232fe98370a32df2bd143755280f59920227a5 /testsuite
parent6e7e499cfecd96f3450f90c1f438e59e288b376c (diff)
downloadghdl-69e6e7e0040509b28f2ecaad754ee4bec93e53e5.tar.gz
ghdl-69e6e7e0040509b28f2ecaad754ee4bec93e53e5.tar.bz2
ghdl-69e6e7e0040509b28f2ecaad754ee4bec93e53e5.zip
Add reproducer for #407
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue407/test.vhdl11
-rwxr-xr-xtestsuite/gna/issue407/testsuite.sh11
2 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/issue407/test.vhdl b/testsuite/gna/issue407/test.vhdl
new file mode 100644
index 000000000..24f2f056d
--- /dev/null
+++ b/testsuite/gna/issue407/test.vhdl
@@ -0,0 +1,11 @@
+entity test is
+begin
+end entity;
+
+architecture arch of test is
+begin
+ process(all)
+ begin
+ report "compilation crashes here";
+ end process;
+end architecture;
diff --git a/testsuite/gna/issue407/testsuite.sh b/testsuite/gna/issue407/testsuite.sh
new file mode 100755
index 000000000..1d84c0f57
--- /dev/null
+++ b/testsuite/gna/issue407/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze test.vhdl
+elab_simulate test
+
+clean
+
+echo "Test successful"