aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2017-11-28 06:12:56 +0100
committerTristan Gingold <tgingold@free.fr>2017-11-28 06:12:56 +0100
commitf16a286f2400fc3910f0eb3efcfbff1d9e558cba (patch)
treea5c7cd641669044ca579f4072cf2c9bc38426b9b /testsuite
parentfb6930072c61baa1dd5d64af2b5c37c7b53347bf (diff)
downloadghdl-f16a286f2400fc3910f0eb3efcfbff1d9e558cba.tar.gz
ghdl-f16a286f2400fc3910f0eb3efcfbff1d9e558cba.tar.bz2
ghdl-f16a286f2400fc3910f0eb3efcfbff1d9e558cba.zip
Add reproducer for #470
Diffstat (limited to 'testsuite')
-rw-r--r--testsuite/gna/issue470/e.vhdl13
-rwxr-xr-xtestsuite/gna/issue470/testsuite.sh10
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/issue470/e.vhdl b/testsuite/gna/issue470/e.vhdl
new file mode 100644
index 000000000..fe1bb45be
--- /dev/null
+++ b/testsuite/gna/issue470/e.vhdl
@@ -0,0 +1,13 @@
+entity e is end entity;
+architecture h of e is
+ type p is protected
+ type t is range 0 to 2;
+ function m return integer;
+ end protected;
+ type p is protected body
+ function m return integer is begin return 123; end function;
+ end protected body;
+ shared variable v :p;
+begin
+ assert false report integer'image(v.m) severity note;
+end architecture;
diff --git a/testsuite/gna/issue470/testsuite.sh b/testsuite/gna/issue470/testsuite.sh
new file mode 100755
index 000000000..dc643f60e
--- /dev/null
+++ b/testsuite/gna/issue470/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure e.vhdl
+
+clean
+
+echo "Test successful"