aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue1631
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/gna/issue1631')
-rw-r--r--testsuite/gna/issue1631/bug.vhdl10
-rw-r--r--testsuite/gna/issue1631/bug2.vhdl10
-rw-r--r--testsuite/gna/issue1631/bug3.vhdl7
-rw-r--r--testsuite/gna/issue1631/bug4.vhdl8
-rwxr-xr-xtestsuite/gna/issue1631/testsuite.sh10
5 files changed, 45 insertions, 0 deletions
diff --git a/testsuite/gna/issue1631/bug.vhdl b/testsuite/gna/issue1631/bug.vhdl
new file mode 100644
index 000000000..087a76505
--- /dev/null
+++ b/testsuite/gna/issue1631/bug.vhdl
@@ -0,0 +1,10 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity bug is
+end bug;
+
+architecture behavior of bug is
+begin
+ var1 : std_logic(1 downto 0);
+end behavior;
diff --git a/testsuite/gna/issue1631/bug2.vhdl b/testsuite/gna/issue1631/bug2.vhdl
new file mode 100644
index 000000000..14dd14734
--- /dev/null
+++ b/testsuite/gna/issue1631/bug2.vhdl
@@ -0,0 +1,10 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity bug2 is
+end;
+
+architecture behavior of bug2 is
+begin
+ std_logic(1 downto 0);
+end behavior;
diff --git a/testsuite/gna/issue1631/bug3.vhdl b/testsuite/gna/issue1631/bug3.vhdl
new file mode 100644
index 000000000..48d8f9c03
--- /dev/null
+++ b/testsuite/gna/issue1631/bug3.vhdl
@@ -0,0 +1,7 @@
+entity bug3 is
+end;
+
+architecture behavior of bug3 is
+begin
+ name(1 downto 0);
+end behavior;
diff --git a/testsuite/gna/issue1631/bug4.vhdl b/testsuite/gna/issue1631/bug4.vhdl
new file mode 100644
index 000000000..ae9f01ca2
--- /dev/null
+++ b/testsuite/gna/issue1631/bug4.vhdl
@@ -0,0 +1,8 @@
+entity bug3 is
+end;
+
+architecture behavior of bug3 is
+ constant c : string := "hello";
+begin
+ c(1 downto 0);
+end behavior;
diff --git a/testsuite/gna/issue1631/testsuite.sh b/testsuite/gna/issue1631/testsuite.sh
new file mode 100755
index 000000000..1c839d83b
--- /dev/null
+++ b/testsuite/gna/issue1631/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure bug.vhdl
+analyze_failure bug2.vhdl
+analyze_failure bug3.vhdl
+analyze_failure bug4.vhdl
+
+echo "Test successful"