aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile.in
Commit message (Collapse)AuthorAgeFilesLines
...
* Use single VM in .travis.yml to speed-up launching builds. Container are ↵1138-4EB2017-03-011-0/+3
| | | | | | | launched in parallel threads. The output of each thread is saved to a log. All of them are printed in order, after all the threads have finished. Shared ANSI color codes between libraries/vendors and dist/linux. The common file to be sourced is dist/ansi_color.sh
* gcc: move ortho-lang.c selection from configure to Makefile.inTristan Gingold2017-02-221-2/+10
| | | | For #291
* Add support for gcc 5.x and gcc 6.xTristan Gingold2017-02-211-0/+3
|
* target install.libghdlsynth addedobijuan2017-02-101-0/+2
|
* libghdlsynth: can generate so/dll file.Tristan Gingold2017-02-071-13/+23
|
* Makefile: add install.libghdlsynthTristan Gingold2017-02-021-0/+6
|
* Add ghdlsynth in ghdl_simulTristan Gingold2017-01-311-2/+15
|
* Use CXX in makefiles and configures to design the c++ compiler.Tristan Gingold2016-12-241-5/+5
|
* Makefile.in: Use @echo instead of echoTristan Gingold2016-12-241-5/+5
|
* Makefile: use LDFLAGS for ghdl_mcode and ghdl_llvmTristan Gingold2016-12-221-2/+2
|
* Be sure PWD is set (for windows).Tristan Gingold2016-12-201-0/+1
|
* ownership: fix ghdlsimulTristan Gingold2016-12-121-1/+2
|
* travis: use CLANGXX instead of CXXTristan Gingold2016-12-121-4/+7
|
* Fix parallel buildsVicente Bergas2016-11-041-2/+2
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | This commit fixes this error: /#################################### $ ./configure Build machine is: x86_64-pc-linux-gnu Creating ghdl.gpr Creating Makefile Generate ortho_code-x86-flags.ads Generate elf_arch.ads Generate default_pathes.ads $ $ $ make -j4 gcc -c -g -DWITH_GNAT_RUN_TIME -o jumps.o src/grt/config/jumps.c gcc -c -g -DWITH_GNAT_RUN_TIME -o times.o src/grt/config/times.c gcc -c -g -DWITH_GNAT_RUN_TIME -o grt-cbinding.o src/grt/grt-cbinding.c gcc -c -g -DWITH_GNAT_RUN_TIME -o grt-cvpi.o src/grt/grt-cvpi.c gcc -c -g -DWITH_GNAT_RUN_TIME -o fstapi.o -I./src/grt/fst src/grt/fst/fstapi.c gcc -c -g -DWITH_GNAT_RUN_TIME -o lz4.o src/grt/fst/lz4.c gcc -c -g -DWITH_GNAT_RUN_TIME -o fastlz.o src/grt/fst/fastlz.c gcc -c -g -o memsegs_c.o src/ortho/mcode/memsegs_c.c gcc -c -g -DWITH_GNAT_RUN_TIME -o chkstk.o src/grt/config/chkstk.S if [ -d ./.git ]; then \ desc=`cd .; git describe --dirty`; \ sed -e "s/[(].*[)]/($desc)/" < src/version.in > version.tmp; \ else \ cp src/version.in version.tmp; \ fi make: *** No rule to make target '/tmp/ghdl/ghdl_mcode', needed by 'std.v87'. Stop. make: *** Waiting for unfinished jobs.... /#################################### It is failing because the dependency includes the full path while the target does not. Signed-off-by: Vicente Bergas <vicencb@gmail.com>
* Remove auto-generated files with `make clean'Vicente Bergas2016-11-041-1/+1
| | | | Signed-off-by: Vicente Bergas <vicencb@gmail.com>
* Makefiles: use gnatmake instead of gcc to compile single Ada files.Tristan Gingold2016-11-011-3/+3
|
* Also create version.ads for ghdl1-gcc development target.Tristan Gingold2016-11-011-1/+1
|
* Create version.ads from git hash/date in version.adsTristan Gingold2016-10-201-9/+24
| | | | Fix #161
* Add suport for llvm 3.6 - 3.9Tristan Gingold2016-09-171-2/+3
|
* Add llvm-nodebug, an llvm backend more portable across llvm versions.Tristan Gingold2016-09-121-4/+5
| | | | Tested with llvm-3.8
* Makefile.in: fix library build during development.Tristan Gingold2016-09-121-1/+4
|
* Makefiles changes for $(EXEEXT) - please review. (#119)Patrick Lehmann2016-07-261-27/+27
| | | | | | * Makefiles changes for $(EXEEXT) - please review. * Moved $(EXEEXT).
* Do not use -fPIC to build libghdlvpi on windows and darwin.Tristan Gingold2016-07-211-1/+2
|
* build and vpi: adjust for windows.Tristan Gingold2016-07-201-1/+1
|
* Makefile: add comments.Tristan Gingold2016-07-171-0/+5
|
* Rework gcc build procedure. Add vpi build command.Tristan Gingold2016-07-161-57/+49
|
* Add commands to build vpi modules (WIP)Tristan Gingold2016-07-141-1/+24
|
* makefile: use GNATMAKE for oread.Tristan Gingold2016-07-091-0/+1
|
* A first changeset for MinGW32 and MinGW64 to compile GHDL with mcode and ↵Patrick Lehmann2016-06-301-6/+8
| | | | llvm backend on Windows x86-64.
* Install vendor shell scripts with executable mode.Tristan Gingold2016-06-221-0/+2
| | | | For issue #83
* Install vendors scripts.Tristan Gingold2016-06-221-0/+4
| | | | Issue #83
* ghwdump: make output more easily readable.Tristan Gingold2016-05-231-2/+4
|
* mhdlsim: add initial version of the vhdl part (as a library).Tristan Gingold2016-05-171-0/+12
|
* mcode: add support for x86-64Tristan Gingold2016-01-061-1/+1
|
* Makefile: do not use OPT_FLAGS for LIB_CFLAGS.Tristan Gingold2015-12-211-1/+2
|
* configure: add --enable-coverage.Tristan Gingold2015-12-211-1/+4
|
* configure: add --with-llvm-configTristan Gingold2015-12-201-3/+1
|
* backtrace: add support for windows and for llvm (via libbacktrace).Tristan Gingold2015-11-221-4/+14
|
* Tentatively fix win32 support.Tristan Gingold2015-09-121-1/+1
|
* Add missing dependencies in Makefile.inTristan Gingold2015-06-011-3/+3
|
* Fix llvm link on darwin (set no_compat_unwind).Tristan Gingold2015-05-281-2/+3
|
* Use cxx compiler to link llvm executable.Tristan Gingold2015-05-211-1/+4
|
* Add ghwdump build rules in Makefile.Tristan Gingold2015-03-101-0/+13
|
* Makefile: fix path to build lib for llvm.Tristan Gingold2015-02-071-2/+3
|
* Makefile: share INCFLAGS.Tristan Gingold2015-01-171-8/+8
|
* Fix build of ghdl_simul (WIP).Tristan Gingold2015-01-161-1/+8
|
* Makefiles: add OPT_FLAGS.Tristan Gingold2014-12-241-13/+13
|
* Makefile.in: add ADA_FLAGS.Tristan Gingold2014-12-231-6/+11
|
* Adjust makefiles to accept absolute srcdir path.Tristan Gingold2014-12-181-83/+18
|
* Makefile.in: add oread-llvm target.Tristan Gingold2014-12-161-0/+6
|