aboutsummaryrefslogtreecommitdiffstats
path: root/src/synth/synth-ieee-std_logic_1164.ads
Commit message (Expand)AuthorAgeFilesLines
* synth: handle static to_bit and to_bitvector. Fix #1540Tristan Gingold2020-12-201-0/+9
* synth-static_oper: handle to_stdulogic. For #1534Tristan Gingold2020-12-131-0/+4
* synth-ieee-std-logic_1164: remove unused std_logic_vector declaration.Tristan Gingold2020-05-171-4/+0
* synth: use memtyp for synth-ieee-numeric_std, add more signed mul.Tristan Gingold2020-05-161-0/+6
* synth-static_oper: handle mul nat uns. Fix #1179Tristan Gingold2020-04-011-0/+1
* synth-static_oper: handle unsigned "<".Tristan Gingold2020-03-131-0/+6
* synth: handle static mul sgn sgn.Tristan Gingold2019-11-161-1/+6
* synth: handle static mul uns uns. Fix bit order for add.Tristan Gingold2019-11-161-0/+12
* synth: add support for static vector/vector or.Tristan Gingold2019-11-131-1/+14
* synth: handle static unsigned/unsigned add.Tristan Gingold2019-11-131-0/+3
* synth: introduce synth-static_oper.Tristan Gingold2019-11-131-0/+54