aboutsummaryrefslogtreecommitdiffstats
path: root/src/vhdl
Commit message (Expand)AuthorAgeFilesLines
* vhdl-sem_decls: add comment.Tristan Gingold2019-10-211-0/+3
* vhdl-parse: parse declarations in vunit.Tristan Gingold2019-10-211-327/+352
* vhdl: handle labels in verification units.Tristan Gingold2019-10-211-8/+62
* psl: add active state.Tristan Gingold2019-10-211-0/+7
* vhdl-prints: handle restrict in vunit.Tristan Gingold2019-10-211-0/+2
* vhdl: try to convert identifier to token only for identifiersTristan Gingold2019-10-201-1/+3
* vhdl-prints: add parenthesis around boolean and/or.Tristan Gingold2019-10-181-0/+4
* vhdl: check cover/restrict is followed by a sequence.Tristan Gingold2019-10-164-11/+65
* vhdl: Add the implicit [*] at start of PSL cover sequence.Tristan Gingold2019-10-151-0/+7
* vhdl: handle cover and restrict within vunit.Tristan Gingold2019-10-154-1/+15
* vhdl-evaluation: handle bit condition operator. Fix #977Tristan Gingold2019-10-131-0/+3
* vhdl-annotations: handle list of record elements declaration.Tristan Gingold2019-10-131-2/+4
* vhdl: recognize std_logic_unsigned.conv_integer.Tristan Gingold2019-10-132-0/+7
* vhdl: recognize conv_integer functions from std_logic_arith.Tristan Gingold2019-10-112-18/+30
* vhdl: recognize std_logic_signed package (from synopsys).Tristan Gingold2019-10-114-14/+64
* vhdl: recognize minus from std_logic_unsignedTristan Gingold2019-10-112-0/+15
* vhdl: do not try to recognize mentor version of std_logic_arith.Tristan Gingold2019-10-101-0/+7
* vhdl: recognize conv_unsigned from ieee.std_logic_arith.Tristan Gingold2019-10-104-1/+201
* synth: handle package bodies.Tristan Gingold2019-10-071-0/+1
* vhdl: recognize to_bitvector.Tristan Gingold2019-10-072-81/+74
* synth: add support for concurrent procedure calls. Fix #969Tristan Gingold2019-10-071-1/+2
* Rework errors handling, to have a more generic framework.Tristan Gingold2019-10-062-4/+61
* synth: improve support of arrays or arrays. Fix #955Tristan Gingold2019-10-011-13/+8
* vhdl: recognize div operators.Tristan Gingold2019-09-302-0/+27
* vhdl-std_package: reduce cascaded error messages.Tristan Gingold2019-09-301-0/+1
* vhdl: recognize rotate functions.Tristan Gingold2019-09-222-0/+17
* synth: handle record subtypes.Tristan Gingold2019-09-191-5/+8
* vhdl: add exit/next flags.Tristan Gingold2019-09-185-63/+173
* vhdl-nodes: add a comment.Tristan Gingold2019-09-121-1/+1
* vhdl-ieee-numeric: recognize shift_right.Tristan Gingold2019-09-111-17/+31
* vhdl: recognize numeric_std shift_left.Tristan Gingold2019-09-112-0/+24
* vhdl: recognize numeric_std mul.Tristan Gingold2019-09-072-0/+27
* vhdl: fix unused warning on protected variable.Tristan Gingold2019-09-061-0/+1
* vhdl: handle P32 in connect_scalar. Fix #918Tristan Gingold2019-09-051-1/+2
* vhdl: do not crash on attribute with a type conversion prefix.Tristan Gingold2019-09-041-2/+3
* vhdl: renames Conditional_Expression to Conditional_Expression_Chain.Tristan Gingold2019-09-028-37/+40
* vhdl synth: recognize more operators (add uns log).Tristan Gingold2019-09-022-2/+6
* vhdl-annotations: ignore conditional variable assignment.Tristan Gingold2019-08-301-1/+2
* vhdl-annotate: handle shared anonymous subtype in interfaces.Tristan Gingold2019-08-301-1/+4
* vhdl: recognize ieee.numeric_std std_match.Tristan Gingold2019-08-302-0/+39
* vhdl: recognize 1164 condition operator, handle in synth.Tristan Gingold2019-08-302-5/+17
* synth: add support for record types.Tristan Gingold2019-08-291-0/+4
* synth: support sequential conditional signal assignment.Tristan Gingold2019-08-271-0/+1
* ignore restrict in simulation (#897)Pepijn de Vos2019-08-202-18/+17
* initial support for reduce and/or (#900)Pepijn de Vos2019-08-202-5/+22
* vhdl psl: fully scan PSL keywords in scanner.Tristan Gingold2019-08-206-66/+141
* vhdl-prints: handle architecture in verification unit hierarchical name.Tristan Gingold2019-08-201-0/+7
* vhdl: handle architecture in verification unit hierarchical name.Tristan Gingold2019-08-203-13/+53
* vhdl-prints: handle verification units.Tristan Gingold2019-08-201-318/+354
* vhdl: handle assume in verification units.Tristan Gingold2019-08-204-1/+9