aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/regressions/issue0120/mem.init
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-07 13:04:04 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-07 13:04:04 -0800
commit31b2cdca145f8fc96424e0c7b6f4000f7c777c12 (patch)
treeea76bcfa26734338f499d4842e9440aff36a14f4 /ice40/regressions/issue0120/mem.init
parent5908b57413f83077f082cf566d0dfbf5e76703b1 (diff)
downloadnextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.tar.gz
nextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.tar.bz2
nextpnr-tests-31b2cdca145f8fc96424e0c7b6f4000f7c777c12.zip
Add testcases from GH
Diffstat (limited to 'ice40/regressions/issue0120/mem.init')
-rw-r--r--ice40/regressions/issue0120/mem.init11
1 files changed, 11 insertions, 0 deletions
diff --git a/ice40/regressions/issue0120/mem.init b/ice40/regressions/issue0120/mem.init
new file mode 100644
index 0000000..7b9fd27
--- /dev/null
+++ b/ice40/regressions/issue0120/mem.init
@@ -0,0 +1,11 @@
+55
+53
+42
+54
+65
+73
+74
+53
+6f
+43
+0