aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/ff/ff_arty.xdc
diff options
context:
space:
mode:
authorAlessandro Comodi <acomodi@antmicro.com>2021-03-12 19:03:02 +0100
committerAlessandro Comodi <acomodi@antmicro.com>2021-03-16 15:39:02 +0100
commitbd2da27e4e35e92ad91145921cf9c7d2c490a9df (patch)
tree95c4870522beeeed9ae1a61d8d1f4a44450231fd /fpga_interchange/examples/tests/ff/ff_arty.xdc
parente5cc03965ef3959ed11cf811816422fd6a5a5cc1 (diff)
downloadnextpnr-bd2da27e4e35e92ad91145921cf9c7d2c490a9df.tar.gz
nextpnr-bd2da27e4e35e92ad91145921cf9c7d2c490a9df.tar.bz2
nextpnr-bd2da27e4e35e92ad91145921cf9c7d2c490a9df.zip
fpga_interchange: tests: added comment and fixed XDC
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Diffstat (limited to 'fpga_interchange/examples/tests/ff/ff_arty.xdc')
-rw-r--r--fpga_interchange/examples/tests/ff/ff_arty.xdc9
1 files changed, 9 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/ff/ff_arty.xdc b/fpga_interchange/examples/tests/ff/ff_arty.xdc
new file mode 100644
index 00000000..3c132f1d
--- /dev/null
+++ b/fpga_interchange/examples/tests/ff/ff_arty.xdc
@@ -0,0 +1,9 @@
+set_property PACKAGE_PIN P17 [get_ports clk]
+set_property PACKAGE_PIN N15 [get_ports d]
+set_property PACKAGE_PIN N16 [get_ports r]
+set_property PACKAGE_PIN M17 [get_ports q]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports d]
+set_property IOSTANDARD LVCMOS33 [get_ports r]
+set_property IOSTANDARD LVCMOS33 [get_ports q]