aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-04 20:29:13 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-04 20:29:13 +0100
commit6efbb986ae874f2e0abf79041d12bc8fa073e993 (patch)
treece0e34fd2a94b001745745e1d09b81e7afa542d0 /testsuite
parent3cefdbec000eb69d27070c6ecfa87e109219df95 (diff)
downloadghdl-yosys-plugin-6efbb986ae874f2e0abf79041d12bc8fa073e993.tar.gz
ghdl-yosys-plugin-6efbb986ae874f2e0abf79041d12bc8fa073e993.tar.bz2
ghdl-yosys-plugin-6efbb986ae874f2e0abf79041d12bc8fa073e993.zip
testsuite: it is now possible to run a single test.
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/formal/shifts/testsuite.sh3
-rwxr-xr-xtestsuite/issues/issue11/testsuite.sh3
-rwxr-xr-xtestsuite/issues/issue4/testsuite.sh3
-rwxr-xr-xtestsuite/issues/issue6/testsuite.sh3
-rwxr-xr-xtestsuite/issues/issue7/testsuite.sh3
-rwxr-xr-xtestsuite/pr61/testsuite.sh3
-rwxr-xr-xtestsuite/pr63/testsuite.sh3
-rwxr-xr-xtestsuite/pr64/testsuite.sh3
-rwxr-xr-xtestsuite/pr66/testsuite.sh3
-rwxr-xr-xtestsuite/test-ice40hx8k/testsuite.sh3
-rwxr-xr-xtestsuite/test-icestick/testsuite.sh3
-rwxr-xr-xtestsuite/test-icezum/testsuite.sh3
-rw-r--r--testsuite/testenv.sh4
-rwxr-xr-xtestsuite/testsuite.sh3
14 files changed, 32 insertions, 11 deletions
diff --git a/testsuite/formal/shifts/testsuite.sh b/testsuite/formal/shifts/testsuite.sh
index 38eb376..eb04217 100755
--- a/testsuite/formal/shifts/testsuite.sh
+++ b/testsuite/formal/shifts/testsuite.sh
@@ -1,5 +1,8 @@
#!/bin/sh
+topdir=../..
+. $topdir/testenv.sh
+
for f in lsl lsr asr; do
formal "test_${f}"
done
diff --git a/testsuite/issues/issue11/testsuite.sh b/testsuite/issues/issue11/testsuite.sh
index 7aecfc9..03b7cb6 100755
--- a/testsuite/issues/issue11/testsuite.sh
+++ b/testsuite/issues/issue11/testsuite.sh
@@ -1,5 +1,8 @@
#!/bin/sh
+topdir=../..
+. $topdir/testenv.sh
+
for f in or xor nor nand xnor; do
synth "test_${f}.vhdl -e test_${f}"
done
diff --git a/testsuite/issues/issue4/testsuite.sh b/testsuite/issues/issue4/testsuite.sh
index 12c33cc..b1107b0 100755
--- a/testsuite/issues/issue4/testsuite.sh
+++ b/testsuite/issues/issue4/testsuite.sh
@@ -1,5 +1,8 @@
#!/bin/sh
+topdir=../..
+. $topdir/testenv.sh
+
for f in no_vector counter8 vector; do
synth "${f}.vhdl -e ${f}"
done
diff --git a/testsuite/issues/issue6/testsuite.sh b/testsuite/issues/issue6/testsuite.sh
index 4d4b9ca..008fd86 100755
--- a/testsuite/issues/issue6/testsuite.sh
+++ b/testsuite/issues/issue6/testsuite.sh
@@ -1,5 +1,8 @@
#!/bin/sh
+topdir=../..
+. $topdir/testenv.sh
+
synth 'vector.vhdl -e vector'
clean
diff --git a/testsuite/issues/issue7/testsuite.sh b/testsuite/issues/issue7/testsuite.sh
index 76cf299..5d396dc 100755
--- a/testsuite/issues/issue7/testsuite.sh
+++ b/testsuite/issues/issue7/testsuite.sh
@@ -1,5 +1,8 @@
#!/bin/sh
+topdir=../..
+. $topdir/testenv.sh
+
run_yosys -Q -q -p "ghdl ref.vhdl -e vector ref; write_verilog ref.v"
run_yosys -Q -q -p "ghdl ref.vhdl vector.vhdl -e vector synth; write_verilog vector.v"
diff --git a/testsuite/pr61/testsuite.sh b/testsuite/pr61/testsuite.sh
index 3ff1a15..b546495 100755
--- a/testsuite/pr61/testsuite.sh
+++ b/testsuite/pr61/testsuite.sh
@@ -1,6 +1,7 @@
#!/bin/sh
-. ../testenv.sh
+topdir=..
+. $topdir/testenv.sh
run_yosys -p "ghdl vector.vhdl -e vector; dump -o vector.il"
diff --git a/testsuite/pr63/testsuite.sh b/testsuite/pr63/testsuite.sh
index 7be5528..fdeda12 100755
--- a/testsuite/pr63/testsuite.sh
+++ b/testsuite/pr63/testsuite.sh
@@ -1,6 +1,7 @@
#!/bin/sh
-. ../testenv.sh
+topdir=..
+. $topdir/testenv.sh
run_yosys -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"
diff --git a/testsuite/pr64/testsuite.sh b/testsuite/pr64/testsuite.sh
index 7c3865a..e159293 100755
--- a/testsuite/pr64/testsuite.sh
+++ b/testsuite/pr64/testsuite.sh
@@ -1,6 +1,7 @@
#!/bin/sh
-. ../testenv.sh
+topdir=..
+. $topdir/testenv.sh
run_yosys -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"
diff --git a/testsuite/pr66/testsuite.sh b/testsuite/pr66/testsuite.sh
index 114c9d7..ee6162f 100755
--- a/testsuite/pr66/testsuite.sh
+++ b/testsuite/pr66/testsuite.sh
@@ -1,6 +1,7 @@
#!/bin/sh
-. ../testenv.sh
+topdir=..
+. $topdir/testenv.sh
run_yosys -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"
diff --git a/testsuite/test-ice40hx8k/testsuite.sh b/testsuite/test-ice40hx8k/testsuite.sh
index a14dcf8..0cc1d0b 100755
--- a/testsuite/test-ice40hx8k/testsuite.sh
+++ b/testsuite/test-ice40hx8k/testsuite.sh
@@ -1,6 +1,7 @@
#!/bin/sh
-. ../testenv.sh
+topdir=..
+. $topdir/testenv.sh
src=../../ice40hx8k
diff --git a/testsuite/test-icestick/testsuite.sh b/testsuite/test-icestick/testsuite.sh
index f7cd7ec..995c372 100755
--- a/testsuite/test-icestick/testsuite.sh
+++ b/testsuite/test-icestick/testsuite.sh
@@ -1,6 +1,7 @@
#!/bin/sh
-. ../testenv.sh
+topdir=..
+. $topdir/testenv.sh
src=../../icestick
diff --git a/testsuite/test-icezum/testsuite.sh b/testsuite/test-icezum/testsuite.sh
index 3b6f620..48152ef 100755
--- a/testsuite/test-icezum/testsuite.sh
+++ b/testsuite/test-icezum/testsuite.sh
@@ -1,6 +1,7 @@
#!/bin/sh
-. ../testenv.sh
+topdir=..
+. $topdir/testenv.sh
src=../../icezum
diff --git a/testsuite/testenv.sh b/testsuite/testenv.sh
index b736b91..086a1f4 100644
--- a/testsuite/testenv.sh
+++ b/testsuite/testenv.sh
@@ -1,8 +1,8 @@
# Testsuite environment
-set -e
+. $topdir/../utils.sh
-. ../../utils.sh
+set -e
if [ x"$GHDL" = x ]; then
GHDL=ghdl
diff --git a/testsuite/testsuite.sh b/testsuite/testsuite.sh
index f4f938d..3354d8e 100755
--- a/testsuite/testsuite.sh
+++ b/testsuite/testsuite.sh
@@ -4,12 +4,11 @@ cd "$(dirname $0)"
. ../utils.sh
run_suite () {
- . ../testenv.sh
for d in */; do
if [ -f $d/testsuite.sh ]; then
printf "${ANSI_DARKCYAN}test $d ${ANSI_NOCOLOR}\n"
cd $d
- if . ./testsuite.sh; then
+ if ./testsuite.sh; then
printf "${ANSI_GREEN}OK${ANSI_NOCOLOR}\n"
else
printf "${ANSI_RED}FAILED!${ANSI_NOCOLOR}\n"