aboutsummaryrefslogtreecommitdiffstats
path: root/examples
Commit message (Collapse)AuthorAgeFilesLines
* Replaced instances of `arachne-pnr` with the `nextpnr-ice40` equivalentAki Van Ness2022-09-1515-99/+92
|
* Improve icestick rs232demo exampleClifford Wolf2019-02-143-8/+125
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Update README to be clearerTim Ansell2018-07-031-1/+2
| | | The example doesn't work out of the box with the iCEblink40-lp1k board.
* Remove up5k_mac16 "example" and add README to up5k_rgb and icebreakerClifford Wolf2018-02-066-123/+4
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add icebreaker example projectClifford Wolf2018-02-064-0/+153
|
* Add example for 5k UWG30 packageDavid Shah2018-01-162-0/+39
|
* Tidy up 5k examplesDavid Shah2017-11-206-35/+18
|
* Fix whitespace and a couple of typosDavid Shah2017-11-204-4/+4
|
* Add UltraPlus LED driver support and demoDavid Shah2017-11-174-0/+132
|
* UltraPlus DSPs workingDavid Shah2017-11-173-0/+87
|
* Basic example, outputs work but inputs don'tDavid Shah2017-10-214-0/+53
|
* Add icemulti exampleClifford Wolf2017-09-148-0/+153
|
* Squelch trailing whitespaceLarry Doolittle2017-08-011-1/+1
|
* Use better error pattern in icestick checker exampleClifford Wolf2017-07-211-1/+1
|
* Add icestick "checker" exampleClifford Wolf2017-07-214-3/+108
|
* Improve rs232demo test benchClifford Wolf2017-07-191-8/+7
|
* Add pre- and post-synthesis testbench examplesClifford Wolf2017-07-184-0/+103
|
* Add missing .rpt file to iceblink example "make clean"Clifford Wolf2017-04-231-1/+1
|
* Some cleanups in verilog examplesClifford Wolf2017-01-094-4/+4
|
* Improvements in icestick rs232 demoClifford Wolf2017-01-032-25/+25
|
* Fixed files with CRLF line endingsClifford Wolf2017-01-014-34/+34
|
* Added link to icestick pinoutClifford Wolf2016-12-301-0/+3
|
* Added icestick rs232 demoClifford Wolf2016-12-303-0/+65
|
* Added iCEZUM exampleClifford Wolf2016-12-294-0/+68
|
* Timing models for LP and HX devicesClifford Wolf2016-02-014-9/+16
|
* Port example to iceblink40 board.Kalle Raiskila2016-01-314-0/+65
|
* added icetime -o/-r optionsClifford Wolf2016-01-182-2/+2
|
* Added icetime to examplesClifford Wolf2016-01-174-7/+17
|
* Renamed IceBox .txt files to .asc filesClifford Wolf2016-01-012-6/+6
|
* Merge pull request #18 from esden/flex_example_makeClifford Wolf2015-12-302-20/+36
|\ | | | | [examples] Made the example Makefiles easier to reuse.
| * [examples] Added sudo prog target to prevent compiling the code as root. And ↵Piotr Esden-Tempski2015-12-302-2/+10
| | | | | | | | made make clean more conservative.
| * [examples] Added the project bin file to prog dependencies.Piotr Esden-Tempski2015-12-282-4/+4
| |
| * [examples] Made the example Makefiles easier to reuse.Piotr Esden-Tempski2015-12-282-18/+26
| |
* | Merge pull request #20 from FSMaxB/patch-2Clifford Wolf2015-12-291-1/+1
|\ \ | | | | | | remove trailing tab in hx8kboard example verilog
| * | remove trailing tab in hx8kboard example verilogMax Bruckner2015-12-291-1/+1
| |/
* / remove trailing tab in icestick example verilogMax Bruckner2015-12-291-1/+1
|/
* Added icestick and hx8kboard examplesClifford Wolf2015-12-088-0/+104