aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-11-05 04:44:55 +0100
committerTristan Gingold <tgingold@free.fr>2019-11-05 04:44:55 +0100
commit70acfa684c7752dd836d4bf95c4b7d4d83053592 (patch)
treef171daa26fddc8bf0c6dd438b121c1f3ba76a43e /testsuite
parent7a7e6050f8db18b7030e05a85cbb378e909d5f7b (diff)
downloadghdl-yosys-plugin-70acfa684c7752dd836d4bf95c4b7d4d83053592.tar.gz
ghdl-yosys-plugin-70acfa684c7752dd836d4bf95c4b7d4d83053592.tar.bz2
ghdl-yosys-plugin-70acfa684c7752dd836d4bf95c4b7d4d83053592.zip
testsuite: move pr tests in issues/
Diffstat (limited to 'testsuite')
-rwxr-xr-xtestsuite/issues/pr61/testsuite.sh (renamed from testsuite/pr61/testsuite.sh)8
-rw-r--r--testsuite/issues/pr61/vector.vhdl (renamed from testsuite/pr61/vector.vhdl)0
-rwxr-xr-xtestsuite/issues/pr63/testsuite.sh (renamed from testsuite/pr63/testsuite.sh)7
-rw-r--r--testsuite/issues/pr63/vector.vhdl (renamed from testsuite/pr63/vector.vhdl)0
-rwxr-xr-xtestsuite/issues/pr64/testsuite.sh (renamed from testsuite/pr64/testsuite.sh)7
-rw-r--r--testsuite/issues/pr64/vector.vhdl (renamed from testsuite/pr64/vector.vhdl)0
-rwxr-xr-xtestsuite/issues/pr66/testsuite.sh12
-rw-r--r--testsuite/issues/pr66/vector.vhdl (renamed from testsuite/pr66/vector.vhdl)0
-rwxr-xr-xtestsuite/pr66/testsuite.sh10
-rwxr-xr-xtestsuite/testsuite.sh1
10 files changed, 28 insertions, 17 deletions
diff --git a/testsuite/pr61/testsuite.sh b/testsuite/issues/pr61/testsuite.sh
index b546495..cbbaeda 100755
--- a/testsuite/pr61/testsuite.sh
+++ b/testsuite/issues/pr61/testsuite.sh
@@ -1,13 +1,17 @@
#!/bin/sh
-topdir=..
+topdir=../..
. $topdir/testenv.sh
-run_yosys -p "ghdl vector.vhdl -e vector; dump -o vector.il"
+run_yosys -q -p "ghdl vector.vhdl -e vector; dump -o vector.il"
grep -q 0000000000000000000000000000000011111111111111111111111111111010 vector.il || exit 1
grep -q 0000000011111111111111111111111111111111111111111111111100000000 vector.il || exit 1
grep -q 1111111111111111111111111111111111111111111111111111111111111111 vector.il || exit 1
grep -q 0000111111111111111111111111111111111111111111111111111111110000 vector.il || exit 1
+rm -f vector.il
+
clean
+
+echo "OK"
diff --git a/testsuite/pr61/vector.vhdl b/testsuite/issues/pr61/vector.vhdl
index 61a0d67..61a0d67 100644
--- a/testsuite/pr61/vector.vhdl
+++ b/testsuite/issues/pr61/vector.vhdl
diff --git a/testsuite/pr63/testsuite.sh b/testsuite/issues/pr63/testsuite.sh
index fdeda12..cc40f65 100755
--- a/testsuite/pr63/testsuite.sh
+++ b/testsuite/issues/pr63/testsuite.sh
@@ -1,10 +1,13 @@
#!/bin/sh
-topdir=..
+topdir=../..
. $topdir/testenv.sh
-run_yosys -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"
+run_yosys -q -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"
grep -q 1111000000000000000000000000000000000000000000000000000000010000 vector.il || exit 1
clean
+
+rm vector.il
+echo OK
diff --git a/testsuite/pr63/vector.vhdl b/testsuite/issues/pr63/vector.vhdl
index 568d3f3..568d3f3 100644
--- a/testsuite/pr63/vector.vhdl
+++ b/testsuite/issues/pr63/vector.vhdl
diff --git a/testsuite/pr64/testsuite.sh b/testsuite/issues/pr64/testsuite.sh
index e159293..0073184 100755
--- a/testsuite/pr64/testsuite.sh
+++ b/testsuite/issues/pr64/testsuite.sh
@@ -1,11 +1,14 @@
#!/bin/sh
-topdir=..
+topdir=../..
. $topdir/testenv.sh
-run_yosys -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"
+run_yosys -q -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"
grep -q 11111111111011110000000000100000000000001101111111110000000000000001000011111111111011110000000000000001000000000000000000000000 vector.il || exit 1
grep -q 00000000000000000000000000001111111111111110111111110000000100000001000011111111111011110000000000000001000000000000000000000000 vector.il || exit 1
clean
+
+rm vector.il
+echo OK
diff --git a/testsuite/pr64/vector.vhdl b/testsuite/issues/pr64/vector.vhdl
index c88c117..c88c117 100644
--- a/testsuite/pr64/vector.vhdl
+++ b/testsuite/issues/pr64/vector.vhdl
diff --git a/testsuite/issues/pr66/testsuite.sh b/testsuite/issues/pr66/testsuite.sh
new file mode 100755
index 0000000..6d8bc7e
--- /dev/null
+++ b/testsuite/issues/pr66/testsuite.sh
@@ -0,0 +1,12 @@
+#!/bin/sh
+
+topdir=../..
+. $topdir/testenv.sh
+
+run_yosys -q -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"
+
+grep -q 'connect \\v 63' vector.il || exit 1
+
+clean
+rm vector.il
+echo OK
diff --git a/testsuite/pr66/vector.vhdl b/testsuite/issues/pr66/vector.vhdl
index 3eb9951..3eb9951 100644
--- a/testsuite/pr66/vector.vhdl
+++ b/testsuite/issues/pr66/vector.vhdl
diff --git a/testsuite/pr66/testsuite.sh b/testsuite/pr66/testsuite.sh
deleted file mode 100755
index ee6162f..0000000
--- a/testsuite/pr66/testsuite.sh
+++ /dev/null
@@ -1,10 +0,0 @@
-#!/bin/sh
-
-topdir=..
-. $topdir/testenv.sh
-
-run_yosys -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"
-
-grep -q 'connect \\v 63' vector.il || exit 1
-
-clean
diff --git a/testsuite/testsuite.sh b/testsuite/testsuite.sh
index 3354d8e..01cb464 100755
--- a/testsuite/testsuite.sh
+++ b/testsuite/testsuite.sh
@@ -18,7 +18,6 @@ run_suite () {
else
printf "${ANSI_YELLOW}Skip $d (no testsuite.sh)${ANSI_NOCOLOR}\n"
fi
- clean
done
}