aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
...
* testsuite: add synth_ice40 (from synth), add synth_import.Tristan Gingold2019-11-051-2/+14
|
* testenv: use abs_topdir for default ghdl.so path.Tristan Gingold2019-11-051-1/+8
|
* Add testcase for #68Tristan Gingold2019-11-042-0/+31
|
* testsuite: it is now possible to run a single test.Tristan Gingold2019-11-0414-11/+32
|
* Add Id_Smod support (#66)Anton Blanchard2019-11-033-0/+27
|
* add iadff (#67)Pepijn de Vos2019-11-031-1/+8
|
* rewrite import_memory, directly generate $memTristan Gingold2019-11-031-97/+130
|
* handle formal input ports.Tristan Gingold2019-10-311-5/+31
|
* Handle Id_Cover_AssertTristan Gingold2019-10-221-0/+2
|
* Initial support of memories.Tristan Gingold2019-10-191-18/+152
|
* Sign extend 32b literals (#61)Pepijn de Vos2019-10-163-4/+78
| | | | | | | | | | | | | | | | | | | * sign extend 32b literals * Fix undefined behavior Right shift of a signed values is undefined but does arithemetic shift in practice. However, shifting by more than one int width is also undefined but *wraps around*. This caused bit/log to work because it'd shift mod 32. But it actually cause the UL32 to be wrong because it'd just repeat the value rather than extending. * zero pad unsigned and add signed * add testsuite
* Add Id_Neg support (#63)Anton Blanchard2019-10-163-0/+27
| | | | | | | | * Add Id_Neg support * Add testcase for Id_Neg Thanks to Pepijn for the example I based this on.
* Add Id_Smul and Id_Umul (#64)Anton Blanchard2019-10-163-0/+34
| | | | | | * Add Id_Smul and Id_Umul support * Add testcase for Id_Smul and Id_Umul
* Fix a couple of compiler warnings (#62)Anton Blanchard2019-10-141-3/+3
| | | | | | | | | | | | | | | | | I see a few compiler warnings on gcc 9.2: src/ghdl.cc: In function ‘Yosys::RTLIL::SigSpec get_src(std::vector<Yosys::RTLIL::Wire*>&, GhdlSynth::Net)’: src/ghdl.cc:123:43: warning: ‘valzx’ may be used uninitialized in this function [-Wmaybe-uninitialized] 123 | switch(((val01 >> i)&1)+((valzx >> i)&1)*2) | ~~~~~~~^~~~~ src/ghdl.cc:123:26: warning: ‘val01’ may be used uninitialized in this function [-Wmaybe-uninitialized] 123 | switch(((val01 >> i)&1)+((valzx >> i)&1)*2) | ~~~~~~~^~~~~ src/ghdl.cc:99:26: warning: ‘val’ may be used uninitialized in this function [-Wmaybe-uninitialized] 99 | bits[i] = (val >> i) & 1 ? RTLIL::State::S1 : RTLIL::State::S0; | ~~~~~^~~~~ These both appear to be spurious, but initialize them to 0 to avoid the warning.
* Handle Id_Sextend (#59)T. Meissner2019-10-091-0/+8
|
* testsuite: Add formal tests (#57)T. Meissner2019-10-0725-25/+359
| | | | | | | | | | | | | | | | | | * Add formal tests for shift operations * ci: build ghdl/synth:formal and run test suites in it * add testsuite/formal/testsuite.sh * create testsuite/issues * ci: remove a level of grouping * testenv: fix SYMBIYOSYS * refactor * testsuite/formal/shifts: Add check for shifts > vector length
* Handle Id_Asr (#55)T. Meissner2019-10-061-0/+4
|
* add shift functions (#54)Pepijn de Vos2019-10-061-0/+8
|
* Handle Const_X & Const_Z (#49)T. Meissner2019-10-051-0/+12
|
* handle Const_LogTristan Gingold2019-10-021-25/+34
|
* Handle Const_Bit.Tristan Gingold2019-10-021-0/+14
|
* Handle covers (#43)T. Meissner2019-09-201-0/+4
|
* Remove Id_Insert (unused).Tristan Gingold2019-09-091-17/+0
|
* Fix incomplete handling of ConcatnTristan Gingold2019-09-071-2/+3
|
* Icestick uart (#37)marph912019-08-227-0/+212
| | | | | | * added UART example for the icestick * extended testsuite by the UART example
* Run testsuite in Travis CI with docker images (#31)1138-4EB2019-08-1617-123/+275
| | | | | | | | | | | | * makefile: use '--build' shortcut to build ghdl.so * move: rename subdir 'ghdl' to 'src' * travis: add travis config file, build script and utils script * testsuite: do not call ghdl explicitly * readme: update
* handle utrunc/strunc. For #33Tristan Gingold2019-08-161-0/+10
|
* Use libghdl to build the module. Update instructions.Tristan Gingold2019-08-152-23/+24
|
* ghdl: initialize for synthesis.Tristan Gingold2019-08-141-2/+7
|
* Build with libghdl (WIP).Tristan Gingold2019-08-142-2/+2
|
* add subtract (#30)Pepijn de Vos2019-08-011-0/+4
|
* add signed compare (#29)Pepijn de Vos2019-07-311-0/+16
|
* Remove to be deprecated const gates, add concatn, reformat.Tristan Gingold2019-07-311-46/+39
|
* add const_ul32 support (#28)Pepijn de Vos2019-07-281-3/+46
|
* Handle isignal like signal.Tristan Gingold2019-07-271-0/+3
|
* add user cell (#25)Pepijn de Vos2019-07-211-1/+39
| | | | | | * add user cell * create black box modules
* add comparison cells and adff (#23)Pepijn de Vos2019-07-161-0/+20
|
* handle reduce, assumes; uses get_input_netTristan Gingold2019-07-041-11/+19
|
* Handle assertions.Tristan Gingold2019-07-031-1/+7
|
* testenv.sh: do not quote YOSYSTristan Gingold2019-07-031-1/+1
|
* ghdl.cc: handle Insert, ignore Edge, adjust.Tristan Gingold2019-07-031-14/+30
|
* README: adjust.Tristan Gingold2019-07-031-7/+15
|
* Makefile: add install target.Tristan Gingold2019-07-031-4/+11
|
* Todo's in readme and change of license (#19)Roland Coeurjoly2018-11-182-17/+690
| | | | | | | | | | * Installation instructions updated * Disable errors before installing libghdlsynth and fix typo * Add comments to README about pending actions * Change license to GPLv3+
* Disable errors before installing libghdlsynth and fix typo (#17)Roland Coeurjoly2018-11-171-0/+6
| | | | | | | | * Installation instructions updated * Disable errors before installing libghdlsynth and fix typo * Add comments to README about pending actions
* Installation instructions updated (#16)Roland Coeurjoly2018-01-031-5/+12
|
* Add tests for nand, nor, xnor.Tristan Gingold2017-02-264-0/+51
|
* Handle nor, nand, xnor.Tristan Gingold2017-02-261-0/+22
|
* Add testcase for #11Tristan Gingold2017-02-263-0/+39
|
* Support or and xor gatesTristan Gingold2017-02-261-0/+8
| | | | Fix #11